مبدل کد گری به کد باینری و برعکس

رایگان

مدار مبدل کد گری به باینری (۴ بیتی)
مدار مبدل کد باینری به گری (۴ بیتی)
+ هدیه

نویسنده: مهدی محمدزاده
فرمت: PDF دانلودی
تعداد صفحات: ۴